Amazon cover image
Image from Amazon.com

The Pentium chronicles : the people, passion, and politics behind Intel's landmark chips / Robert P. Colwell.

By: Material type: TextTextPublication details: Hoboken, N.J. : Wiley ; Chichester : John Wiley [distributor], c2006.Description: xix, 187 p. : ill., maps ; 26 cmISBN:
  • 0471736171 (pbk.)
Subject(s): Online resources:
Contents:
Foreword -- Preface -- 1. Introduction -- P6 project context -- Betting on CISC -- Proliferation thinking -- The gauntlet -- Developing big ideas -- Defining success and failure -- Senior wisdom -- Four project phases -- The business of excellence -- 2. The concept phase -- Of immediate concern -- Success factors -- Clear goals -- The right people -- P6 senior leadership -- Setting the leadership tone -- Managing mechanics -- Physical context matters -- The storage room solution-- Beyond the whiteboard -- "Kooshing" the talkers -- A data-driven culture -- The right tool -- The "what would happen if" game -- DFA frontiers -- Performance -- Benchmark selections -- Avoiding myopic do-loops -- Floating-point chickens and eggs -- Legacy code performance -- Intelligent projections -- All design teams are not equal -- Overpromise or overdeliver? -- Customer visits -- Loose lips -- Memorable moments -- Microsoft -- Novell -- Compaq -- Insights from input -- Not-so-secret instructions -- Help from the software world -- The truth about hardware and software. Establishing the design team -- Roles and responsibilities -- Presilicon validation -- Wizard problem solving -- Making microcode a special case -- Cubicle floorplanning -- Architects, engineers, and schedules -- Coding, egos, subterfuge -- 3. The refinement phase -- Of immediate concern -- Success factors -- Handling the nonquantifiable -- Managing new perspectives -- Planning for complexity -- Behavioral models -- Managing a changing POR -- The wrong way to plan -- Engineering change orders -- The origin of change -- When, where, who -- Communicating change -- Timely resolution, no pocket vetoes -- The ECO czar -- ECO control and the project POR -- The bridge from architecture to design -- Focus groups -- Product quality-- Mismanaging design errors -- Make and example of the offender -- Hire only geniuses -- Flog validation -- Avoid/find/survive -- Design to avoid bugs -- When bugs get in anyway, find them before production -- Identifying bugs -- Tracking bugs -- Managing validation -- Plan to survive bugs that make it to production -- A six-step plan for high product quality -- The design review -- How not to do a review -- When to do review -- Another one rides the bus. 4. The realization phase -- Of immediate concern -- Success factors -- Balanced decision making -- Documentation and communication -- Capturing unit decisions -- Integrating architects and design engineers -- Performance and feature trade-offs -- (Over- ) optimizing performance -- Perfect A ; mediocre B, C, and D -- The technical purity trap -- The unbreakable computer -- Performance-monitoring facilities -- Counters and triggers -- Protecting the family jewels -- Testability hooks -- Gratuitous innovation considered harmful -- Validation and model health -- A thankless job -- Choosing a metric -- Health and the tapeout target -- Metric doldrums -- Coordinating with other projects -- Performance estimation -- The overshooting scheme -- Psychological stuff -- Simulator wars -- Project management -- Awards, rewards, and recognition -- The dark side of awards -- Project management by grass cutting -- Marginal return from incremental heads -- Project tracking -- The experiment -- The mystery of the unchanging curve -- Flexibility is required of all -- The simplification effort. 5. The production phase -- Of immediate concern -- Functional correctness -- Speed paths -- Chip sets and platforms -- Success factors -- Prioritizing war room issues -- Managing the microcode patch space -- Product care and feeding -- Test vectors -- Performance surprises -- Feature surprises -- Executive pedagogy and shopping carts -- Managing to the next processor -- The Windows NT saga -- Product rollout -- On stage with Andy Grove -- How not to give magazine interviews -- Speech training -- 6. The people factor -- Hiring and firing -- Rational recruitment -- Hiring and the promotion list -- Firing -- Policy wars -- Corporate disincentives -- The Led Zeppelin incident -- Exiting the exit bag check -- Sailboats and ditches -- Orbiting the bathrooms -- Management by objective -- We are so rich, we must be good -- Burnout -- 7. Inquiring minds like yours -- What was Intel thinking with that chip ID tag, which caused such a public uproar? -- Was the P6 project affected by the Pentium's floating point divider bug? -- Why did Pentium have a flawed floating point divider, when its predecessor, the i486, did not? How would you respond to the claim that the P6 is built on ideas stolen from Digital Equipment Corp.? -- What did the P6 team think about Intel's Itanium Processor Family? -- Is Intel the sweatshop some people say it is? -- How can I become the chief architect of a company such as Intel? -- Why did you leave Intel? -- And in closing I'd just like to say -- Bibliography -- Appendix -- Out-of-order, superscalar microarchitecture : a primer -- Plausibility checking -- Glossary -- Index.
Holdings
Item type Current library Call number Copy number Status Date due Barcode
Books (30-Day Checkout) Books (30-Day Checkout) Nash Library General Stacks HD9696.S44I563 2006 1 Available 33710001002919

Published in association with the IEEE Computer Society.

"A Wiley-Interscience publication."

Includes bibliographical references (p. 171-172) and index.

Foreword -- Preface -- 1. Introduction -- P6 project context -- Betting on CISC -- Proliferation thinking -- The gauntlet -- Developing big ideas -- Defining success and failure -- Senior wisdom -- Four project phases -- The business of excellence -- 2. The concept phase -- Of immediate concern -- Success factors -- Clear goals -- The right people -- P6 senior leadership -- Setting the leadership tone -- Managing mechanics -- Physical context matters -- The storage room solution-- Beyond the whiteboard -- "Kooshing" the talkers -- A data-driven culture -- The right tool -- The "what would happen if" game -- DFA frontiers -- Performance -- Benchmark selections -- Avoiding myopic do-loops -- Floating-point chickens and eggs -- Legacy code performance -- Intelligent projections -- All design teams are not equal -- Overpromise or overdeliver? -- Customer visits -- Loose lips -- Memorable moments -- Microsoft -- Novell -- Compaq -- Insights from input -- Not-so-secret instructions -- Help from the software world -- The truth about hardware and software. Establishing the design team -- Roles and responsibilities -- Presilicon validation -- Wizard problem solving -- Making microcode a special case -- Cubicle floorplanning -- Architects, engineers, and schedules -- Coding, egos, subterfuge -- 3. The refinement phase -- Of immediate concern -- Success factors -- Handling the nonquantifiable -- Managing new perspectives -- Planning for complexity -- Behavioral models -- Managing a changing POR -- The wrong way to plan -- Engineering change orders -- The origin of change -- When, where, who -- Communicating change -- Timely resolution, no pocket vetoes -- The ECO czar -- ECO control and the project POR -- The bridge from architecture to design -- Focus groups -- Product quality-- Mismanaging design errors -- Make and example of the offender -- Hire only geniuses -- Flog validation -- Avoid/find/survive -- Design to avoid bugs -- When bugs get in anyway, find them before production -- Identifying bugs -- Tracking bugs -- Managing validation -- Plan to survive bugs that make it to production -- A six-step plan for high product quality -- The design review -- How not to do a review -- When to do review -- Another one rides the bus. 4. The realization phase -- Of immediate concern -- Success factors -- Balanced decision making -- Documentation and communication -- Capturing unit decisions -- Integrating architects and design engineers -- Performance and feature trade-offs -- (Over- ) optimizing performance -- Perfect A ; mediocre B, C, and D -- The technical purity trap -- The unbreakable computer -- Performance-monitoring facilities -- Counters and triggers -- Protecting the family jewels -- Testability hooks -- Gratuitous innovation considered harmful -- Validation and model health -- A thankless job -- Choosing a metric -- Health and the tapeout target -- Metric doldrums -- Coordinating with other projects -- Performance estimation -- The overshooting scheme -- Psychological stuff -- Simulator wars -- Project management -- Awards, rewards, and recognition -- The dark side of awards -- Project management by grass cutting -- Marginal return from incremental heads -- Project tracking -- The experiment -- The mystery of the unchanging curve -- Flexibility is required of all -- The simplification effort. 5. The production phase -- Of immediate concern -- Functional correctness -- Speed paths -- Chip sets and platforms -- Success factors -- Prioritizing war room issues -- Managing the microcode patch space -- Product care and feeding -- Test vectors -- Performance surprises -- Feature surprises -- Executive pedagogy and shopping carts -- Managing to the next processor -- The Windows NT saga -- Product rollout -- On stage with Andy Grove -- How not to give magazine interviews -- Speech training -- 6. The people factor -- Hiring and firing -- Rational recruitment -- Hiring and the promotion list -- Firing -- Policy wars -- Corporate disincentives -- The Led Zeppelin incident -- Exiting the exit bag check -- Sailboats and ditches -- Orbiting the bathrooms -- Management by objective -- We are so rich, we must be good -- Burnout -- 7. Inquiring minds like yours -- What was Intel thinking with that chip ID tag, which caused such a public uproar? -- Was the P6 project affected by the Pentium's floating point divider bug? -- Why did Pentium have a flawed floating point divider, when its predecessor, the i486, did not? How would you respond to the claim that the P6 is built on ideas stolen from Digital Equipment Corp.? -- What did the P6 team think about Intel's Itanium Processor Family? -- Is Intel the sweatshop some people say it is? -- How can I become the chief architect of a company such as Intel? -- Why did you leave Intel? -- And in closing I'd just like to say -- Bibliography -- Appendix -- Out-of-order, superscalar microarchitecture : a primer -- Plausibility checking -- Glossary -- Index.